submit news    HOME | FEEDBACK  


« NAVIGATION »
NEWS

- Bio/Medicine

- Chemicals

- Defense

- Drug Delivery

- Education

- Electronics

- Energy

- Events

- Grants

- Industry

- Investment

- Litigation

- Materials

- MEMS

- Nanofabrication

- Nanoparticles

- Nanotubes

- Optics

- Partnership

- Patent

- Products

- Quantum dots

- Research

- Smart Dust

- Software
COMPANIES
EVENTS

- Browse by Month

- Current Shows

- Previous Shows

- Submit Events
FEEDBACK
ADVERTISE
LINK TO US

« PARTNERS »
Become A Nanotechwire Partner

FEI Company

Veeco Instruments

Nano Science and Technology Institute

National Nanotechnology Initiative

Nanotechnology at Zyvex

Want to see your Company or Organization listed above? Become A Nanotechwire Partner Today - click here
« NEWSLETTER »



« SEARCH »







6/2/2010 4:32:47 PM
Exceptional Undergraduates Selected for Prestigious Summer Internship Program at UAlbany NanoCollege

The College of Nanoscale Science and Engineering ("CNSE") of the University at Albany announced today that 22 exceptional undergraduate students - including 20 New York State residents - have been selected to participate in its prestigious Summer Internship Program.

The student interns, who were chosen from among a highly competitive pool of more than 120 applicants, have academic backgrounds in the physical, chemical, biological or computer sciences, as well as mathematics and engineering. Collectively, they attend 16 colleges and universities, including the University at Albany, Binghamton University, Clarkson University, Cornell University, Dartmouth College, SUNY Geneseo, Lehigh University, McGill University, University of Michigan, Mohawk Valley Community College, University of New Haven, Rensselaer Polytechnic Institute, Rochester Institute of Technology, Skidmore College, Stony Brook University, and Universidad de las Americas Puebla.

CNSE's Summer Internship Program, which begins today and runs through August 11, provides hands-on research experience to qualified undergraduate students who wish to pursue careers in nanoscience, nanoengineering, nanobioscience and the nanotechnology industry.

"Once again this year, we are thrilled to welcome an outstanding group of young scientists to participate in the Summer Internship Program at the UAlbany NanoCollege," said Dr. Alain E. Kaloyeros, Senior Vice President and Chief Executive Officer of CNSE. "Over the next 10 weeks, these students will find themselves immersed in innovative, hands-on research, working alongside experts from academia and industry while utilizing the most advanced high-tech facilities in the academic world. It is a truly unique and exciting opportunity for the students to both understand and demonstrate the promise and potential of nanotechnology."

Each intern will work with one or more research programs conducted by CNSE and its global corporate partners, which represent many of the world's leading technology companies. Students will interact closely with CNSE faculty, staff, post-doctoral researchers and graduate students, and with industrial experts through weekly seminars. A public poster presentation showcasing each intern's summer research project serves as the capstone of the Summer Internship Program.

The UAlbany CNSE is the first college in the world dedicated to education, research, development, and deployment in the emerging disciplines of nanoscience, nanoengineering, nanobioscience, and nanoeconomics. CNSE's Albany NanoTech Complex is the most advanced research enterprise of its kind at any university in the world. With over $5.5 billion in high-tech investments, the 800,000-square-foot complex attracts corporate partners from around the world and offers students a one-of-a-kind academic experience. The UAlbany NanoCollege houses the only fully-integrated, 300mm wafer, computer chip pilot prototyping and demonstration line within 80,000 square feet of Class 1 capable cleanrooms. More than 2,500 scientists, researchers, engineers, students, and faculty work on site at CNSE's Albany NanoTech, from companies including IBM, AMD, GlobalFoundries, SEMATECH, Toshiba, Applied Materials, Tokyo Electron, ASML, Novellus Systems, Vistec Lithography and Atotech. For more information, visit http://www.cnse.albany.edu.

Other Headlines from UAlbany CNSE ...
 - FUJIFILM Joins SEMATECH�s Resist Center for Advanced EUV Resist Development at UAlbany NanoCollege
 - APIC Corporation and UAlbany NanoCollege launch $10M partnership to develop and commercialize innovative 'green' computer chip technology
 - UAlbany NanoCollege Professor Shadi Shahedipour-Sandvik Receives the UAlbany Award for Excellence in Research
 - CNSE Senior Vice President & CEO Dr. Alain E. Kaloyeros is inducted into the Tech Valley Business Hall of Fame
 - UAlbany NanoCollege announces winners of New York State Business Plan Competition

More Education Headlines ...
 - UAlbany NanoCollege announces winners of New York State Business Plan Competition
 - Keithley Publishes E-Handbook on Nanoscale Electrical Measurements
 - UAlbany NanoCollege presents 'Nanotechnology and Nature' program at Albany Pine Bush Preserve Discovery Center
 - Birck Nanotechnology Center hosts hands-on NanoDays for K-12 schools, general public
 - MEMS Materials and Processes Handbook � a comprehensive, practical resource


« Back To List »

« GET LISTED »
- submit company
- submit news
- submit events
- advertise here

« EVENTS »
- More Events


Copyright � 2013 Nanotechwire.com | Privacy Policy |