submit news    HOME | FEEDBACK  


« NAVIGATION »
NEWS

- Bio/Medicine

- Chemicals

- Defense

- Drug Delivery

- Education

- Electronics

- Energy

- Events

- Grants

- Industry

- Investment

- Litigation

- Materials

- MEMS

- Nanofabrication

- Nanoparticles

- Nanotubes

- Optics

- Partnership

- Patent

- Products

- Quantum dots

- Research

- Smart Dust

- Software
COMPANIES
EVENTS

- Browse by Month

- Current Shows

- Previous Shows

- Submit Events
FEEDBACK
ADVERTISE
LINK TO US

« PARTNERS »
Become A Nanotechwire Partner

FEI Company

Veeco Instruments

Nano Science and Technology Institute

National Nanotechnology Initiative

Nanotechnology at Zyvex

Want to see your Company or Organization listed above? Become A Nanotechwire Partner Today - click here
« NEWSLETTER »



« SEARCH »







1/31/2009 12:12:00 PM
UAlbany NanoCollege Holds Educational Forum for Assistant Superintendents from throughout Tech Valley

Assistant superintendents from nearly 40 school districts throughout the Tech Valley region visited the College of Nanoscale Science and Engineering ("CNSE") of the University at Albany on January 30 as part of an educational forum to discuss opportunities to introduce nanoscale science and engineering concepts into the K-12 curriculum.

The first-of-its-kind event underscored the growing impact of nanotechnology on the educational and economic landscape of New York State. The assistant superintendents discussed a host of educational outreach initiatives and partnerships currently underway at CNSE, and toured CNSE's world-class Albany NanoTech Complex, a $4.5 billion education, research and development megaplex that is the most advanced in the academic world.

The National Science Foundation projects the need for 2 million nanotechnology-savvy workers by 2014, with 20 percent expected to be scientists and the remaining 80 percent consisting of highly skilled engineers, technicians, business leaders, economists and others, with expertise ranging from two-year associate degrees to doctoral degrees.

http://cnse.albany.edu/

Other Headlines from UAlbany CNSE ...
 - FUJIFILM Joins SEMATECH�s Resist Center for Advanced EUV Resist Development at UAlbany NanoCollege
 - APIC Corporation and UAlbany NanoCollege launch $10M partnership to develop and commercialize innovative 'green' computer chip technology
 - UAlbany NanoCollege Professor Shadi Shahedipour-Sandvik Receives the UAlbany Award for Excellence in Research
 - CNSE Senior Vice President & CEO Dr. Alain E. Kaloyeros is inducted into the Tech Valley Business Hall of Fame
 - UAlbany NanoCollege announces winners of New York State Business Plan Competition

More Education Headlines ...
 - UAlbany NanoCollege announces winners of New York State Business Plan Competition
 - Keithley Publishes E-Handbook on Nanoscale Electrical Measurements
 - UAlbany NanoCollege presents 'Nanotechnology and Nature' program at Albany Pine Bush Preserve Discovery Center
 - Birck Nanotechnology Center hosts hands-on NanoDays for K-12 schools, general public
 - MEMS Materials and Processes Handbook � a comprehensive, practical resource


« Back To List »

« GET LISTED »
- submit company
- submit news
- submit events
- advertise here

« EVENTS »
- More Events


Copyright � 2013 Nanotechwire.com | Privacy Policy |