submit news    HOME | FEEDBACK  


« NAVIGATION »
NEWS

- Bio/Medicine

- Chemicals

- Defense

- Drug Delivery

- Education

- Electronics

- Energy

- Events

- Grants

- Industry

- Investment

- Litigation

- Materials

- MEMS

- Nanofabrication

- Nanoparticles

- Nanotubes

- Optics

- Partnership

- Patent

- Products

- Quantum dots

- Research

- Smart Dust

- Software
COMPANIES
EVENTS

- Browse by Month

- Current Shows

- Previous Shows

- Submit Events
FEEDBACK
ADVERTISE
LINK TO US

« PARTNERS »
Become A Nanotechwire Partner

FEI Company

Veeco Instruments

Nano Science and Technology Institute

National Nanotechnology Initiative

Nanotechnology at Zyvex

Want to see your Company or Organization listed above? Become A Nanotechwire Partner Today - click here
« NEWSLETTER »



« SEARCH »







10/10/2008 5:51:34 PM
UAlbany NanoCollege Gives Students a View of Growing Career Opportunities in Nanotechnology

Amid the growing number of nanotechnology-related career opportunities in the Capital Region and New York State, more than 300 elementary, middle- and high-school students got an inside look at the high-tech workplace of the future when they participated in NanoCareer Day held today at the College of Nanoscale Science and Engineering ("CNSE") of the University at Albany.

Students had the unique opportunity to put on cleanroom "bunny suits," conduct experiments to learn the basics of solar cells and fuel cells, and to tour the UAlbany NanoCollege's $4.5 billion, world-class Albany NanoTech Complex, the most advanced research and development enterprise on a university campus anywhere in the world.

Created to lead the effort to begin preparing students for careers in New York's fast-growing nanotechnology industry - while also addressing the national need to stimulate an interest in math and science among America's younger generation - NanoCareer Day gives students unprecedented access to CNSE, ranked in May 2007 by Small Times magazine as the world's number one college for nanotechnology and microtechnology.

"Pioneering programs like NanoCareer Day have taken on increasing importance amid the rapid growth of New York's nanotechnology economy, spurred by the extraordinary leadership, vision and investment of Governor Paterson and Assembly Speaker Silver and led by the globally recognized UAlbany NanoCollege," said Dr. Alain E. Kaloyeros, Senior Vice President and Chief Executive Officer of CNSE. "NanoCareer Day begins the process of educating students about nanotechnology, helping to build a future workforce that is critical to advancing New York's growing nanotechnology sector and vital to strengthening U.S. competitiveness in an increasingly global marketplace."

The National Science Foundation projects the need for two million nanotechnology-savvy workers by 2014, with 20 percent expected to be scientists and the remaining 80 percent consisting of highly skilled engineers, technicians, business leaders, economists and others, with expertise ranging from two-year associate degrees to doctoral degrees.

Participating schools, and their counties, included: Ballston Spa High School (Saratoga); Doane Stuart School (Albany); Cohoes Middle School (Albany); Lynch Literacy Academy (Montgomery); Germantown High School (Columbia); New Scotland Elementary School, Albany City School District (Albany); Schenectady High School (Schenectady); Burnt Hills-Ballston Lake High School (Saratoga/Schenectady); and, Broadalbin-Perth High School (Fulton).

The UAlbany CNSE is the first college in the world dedicated to research, development, education, and deployment in the emerging disciplines of nanoscience, nanoengineering, nanobioscience, and nanoeconomics. In May 2007, it was ranked as the world's number one college for nanotechnology and microtechnology in the Annual College Ranking by Small Times magazine. CNSE's Albany NanoTech complex is the most advanced research enterprise of its kind at any university in the world: a $4.5 billion, 450,000-square-foot complex that attracts corporate partners from around the world and offers students a one-of-a-kind academic experience. The UAlbany NanoCollege houses the only fully-integrated, 300mm wafer, computer chip pilot prototyping and demonstration line within 65,000 square feet of Class 1 capable cleanrooms. More than 2,000 scientists, researchers, engineers, students, and faculty work on site at CNSE's Albany NanoTech complex, from companies including IBM, AMD, SEMATECH, Toshiba, ASML, Applied Materials, Tokyo Electron, Vistec Lithography and Freescale. An expansion currently underway will increase the size of CNSE's Albany NanoTech complex to over 800,000 square feet, including over 80,000 square feet of Class 1 capable cleanroom space, to house over 2,500 scientists, researchers, engineers, students, and faculty by mid-2009.

http://cnse.albany.edu/

Other Headlines from UAlbany CNSE ...
 - FUJIFILM Joins SEMATECH�s Resist Center for Advanced EUV Resist Development at UAlbany NanoCollege
 - APIC Corporation and UAlbany NanoCollege launch $10M partnership to develop and commercialize innovative 'green' computer chip technology
 - UAlbany NanoCollege Professor Shadi Shahedipour-Sandvik Receives the UAlbany Award for Excellence in Research
 - CNSE Senior Vice President & CEO Dr. Alain E. Kaloyeros is inducted into the Tech Valley Business Hall of Fame
 - UAlbany NanoCollege announces winners of New York State Business Plan Competition

More Industry Headlines ...
 - UCF Researcher Gets Global Attention, Cash
 - Scott Gish joins Cambridge NanoTech Executive Team
 - Ultratech Announces HB-LED Asia Technology Center in Taiwan
 - Luna Innovations Reports First Quarter 2011 Financial Results
 - CVD Equipment Corporation Announces Record Q1 Results


« Back To List »

« GET LISTED »
- submit company
- submit news
- submit events
- advertise here

« EVENTS »
- More Events


Copyright � 2013 Nanotechwire.com | Privacy Policy |