submit news    HOME | FEEDBACK  


« NAVIGATION »
NEWS

- Bio/Medicine

- Chemicals

- Defense

- Drug Delivery

- Education

- Electronics

- Energy

- Events

- Grants

- Industry

- Investment

- Litigation

- Materials

- MEMS

- Nanofabrication

- Nanoparticles

- Nanotubes

- Optics

- Partnership

- Patent

- Products

- Quantum dots

- Research

- Smart Dust

- Software
COMPANIES
EVENTS

- Browse by Month

- Current Shows

- Previous Shows

- Submit Events
FEEDBACK
ADVERTISE
LINK TO US

« PARTNERS »
Become A Nanotechwire Partner

FEI Company

Veeco Instruments

Nano Science and Technology Institute

National Nanotechnology Initiative

Nanotechnology at Zyvex

Want to see your Company or Organization listed above? Become A Nanotechwire Partner Today - click here
« NEWSLETTER »



« SEARCH »







11/7/2010 11:31:42 AM
More than 1,000 People Explore Nanotechnology During CNSE Community Day at UAlbany NanoCollege

More than 1,000 people, including children, adults and families from throughout upstate New York, converged today on the College of Nanoscale Science and Engineering ("CNSE") of the University at Albany to participate in CNSE Community Day, a highlight of CNSE's unprecedented community and educational outreach initiative known as NANOvember.

Tours of the state-of-the-art cleanroom facilities at CNSE's $6.5 billion Albany NanoTech Complex - the most advanced research enterprise in the academic world - along with presentations, interactive demonstrations and hands-on activities highlighted CNSE Community Day. The event showcased the exciting 21st century innovations being enabled by nanotechnology, as well as the global leadership of the UAlbany NanoCollege in the science described by the National Nanotechnology Initiative as "leading to the next Industrial Revolution."

Part of "NEXSTEP," or "Nanotechnology Explorations for Science, Training and Education Promotion," NANOvember represents a partnership between CNSE and KeyBank to promote greater understanding of the changing economic and business environment in the Capital Region and New York State being driven by nanotechnology.

"The UAlbany NanoCollege is delighted to see the strong interest and significant participation at CNSE Community Day," said Dr. Alain E. Kaloyeros, Senior Vice President and Chief Executive Officer of CNSE. "We appreciate the support of our community-minded partner, KeyBank, in presenting this event as part of CNSE's NANOvember initiative, which underscores the growing interest in nanotechnology and provides a firsthand look at the opportunities this emerging science offers for world-class education, groundbreaking research and exciting high-tech careers."

"NANOvember is an exciting extension of the NEXSTEP initiative and its effort to help people understand the importance of nanotechnology and how and why nanotechnology is changing the Capital Region," said Jeffrey Stone, president, Capital Region, KeyBank N.A. "In the current economic landscape, this is a bright spot, as nanotech throughout Tech Valley is playing a large role in attracting high-tech jobs, companies and investment to our region and state."

The annual celebration of NANOvember also includes the CNSE Community Lecture Series, held on four consecutive Mondays, and a host of new events this year, including: "Nano at the Y" on Saturday, November 13, in which CNSE faculty will visit seven Capital District YMCA branches to demonstrate innovative nanotechnology research; "NanoCSI" on Thursday, November 18, an interactive presentation that highlights the use of nanotechnology in crime scene investigations; and "Nanotechnology and the Video Game Revolution" on Saturday, November 20, which outlines the impact of nanotechnology on the evolution of video games and features interactive computer gaming terminals.

A complete list of events and activities planned during NANOvember is available at http://cnse.albany.edu/events/nanovember.html.

CNSE

The UAlbany CNSE is the first college in the world dedicated to education, research, development, and deployment in the emerging disciplines of nanoscience, nanoengineering, nanobioscience, and nanoeconomics. CNSE's Albany NanoTech Complex is the most advanced research enterprise of its kind at any university in the world. With over $6.5 billion in high-tech investments, the 800,000-square-foot complex attracts corporate partners from around the world and offers students a one-of-a-kind academic experience. The UAlbany NanoCollege houses the only fully-integrated, 300mm wafer, computer chip pilot prototyping and demonstration line within 80,000 square feet of Class 1 capable cleanrooms. More than 2,500 scientists, researchers, engineers, students, and faculty work on site, from companies including IBM, AMD, GlobalFoundries, SEMATECH, Toshiba, Applied Materials, Tokyo Electron, ASML, Novellus Systems, Vistec Lithography and Atotech. An expansion currently in the planning stages is projected to increase the size of CNSE's Albany NanoTech Complex to over 1,250,000 square feet of next-generation infrastructure housing over 105,000 square feet of Class 1 capable cleanrooms and more than 3,750 scientists, researchers and engineers from CNSE and global corporations. For information, visit http://www.cnse.albany.edu/.

Other Headlines from UAlbany CNSE ...
 - FUJIFILM Joins SEMATECH�s Resist Center for Advanced EUV Resist Development at UAlbany NanoCollege
 - APIC Corporation and UAlbany NanoCollege launch $10M partnership to develop and commercialize innovative 'green' computer chip technology
 - UAlbany NanoCollege Professor Shadi Shahedipour-Sandvik Receives the UAlbany Award for Excellence in Research
 - CNSE Senior Vice President & CEO Dr. Alain E. Kaloyeros is inducted into the Tech Valley Business Hall of Fame
 - UAlbany NanoCollege announces winners of New York State Business Plan Competition

More Education Headlines ...
 - UAlbany NanoCollege announces winners of New York State Business Plan Competition
 - Keithley Publishes E-Handbook on Nanoscale Electrical Measurements
 - UAlbany NanoCollege presents 'Nanotechnology and Nature' program at Albany Pine Bush Preserve Discovery Center
 - Birck Nanotechnology Center hosts hands-on NanoDays for K-12 schools, general public
 - MEMS Materials and Processes Handbook � a comprehensive, practical resource


« Back To List »

« GET LISTED »
- submit company
- submit news
- submit events
- advertise here

« EVENTS »
- More Events


Copyright � 2013 Nanotechwire.com | Privacy Policy |